웨스트팩

 
SensArray in Situ Process Monitoring

KLA-Tencor’s SensArray SensorWafers provide a unique way, not available from conventional wafer process monitoring equipment, to monitor the effect of the process environment on production wafers. Measurements, such as wafer temperature and RF voltage, are used by both chipmakers and wafer process monitoring equipment manufacturers to visualize, diagnose, and control their processes and to monitor wafer process tools.

 
 

THIN FILM DEPOSITION

HighTemp-350: Extends wafer temperature control monitoring for optimization of deposition processes.

 

Lithography Process Monitoring

ScannerTemp: allows highly accurate temperature monitoring of dry and immersion lithography systems, whose overlay performance is highly sensitive to thermal variation.

 

SensArray 1840 Rev A: Instrumented wafers for real-time hot plate temperature monitoring and measurements

 

SensArray 1850: Instrumented wafers for bake plate monitoring and characterization

 

Integrated Wafer: Wireless, low profile wafer process monitoring system for gathering critical thermal data from semiconductor manufacturing processes

 

Base Station 300/300Z: Central operating hub for process monitoring and uploading thermal measurement survey requirements and downloading thermal survey data

 

iWafer Carrier Station: Communication link between the SensorWafer and host computer for process monitoring

 

LithoSuite: Data collection and analysis system for process monitoring of lithography applications

 

Thermal MAP: Wireless data acquisition hardware and graphical software for visualization and analysis

 

Thermal TRACK: PC-based PDA supplies real-time process monitoring and measurements

 

Plasma Etch Wafer Process Monitoring

EtchTemp-SE (ET-SE) delivers temperature wafer process monitoring during silicon etch wafer manufacturing processes, providing precise condition temperature measurements with a higher signal-to-noise ratio than alternative methods.

 

EtchTemp: Instrumented SensorWafer for etch wafer process monitoring and characterizing high-power, high-frequency etch recipes for 65nm nodes and below

 

I3 Integral: SensorWafer for highly accurate measurement of plasma etch wafer temperature

 

iWafer Carrier Station: Communication link between SensorWafer and host computer for process monitoring

 

PlasmaSuite: Data collection and analysis system for process monitoring of plasma applications Implant and Wet Process Monitoring

 

Implant and Wet Process Monitoring

WetTemp-LP is designed to be compatible with both single wafer and batch wet clean systems that require this standard-thickness wafer form factor.

 

i3 Integral for Implant: Capture and monitor process environment effects on production wafers and obtain accurate temperature profiles with full wafer spatial resolution monitoring

 

I3 Integral for Wet: Instrumented wafer designed to provide critical wet processing temperature data

 

PlasmaSuite: Data collection and analysis system for process monitoring of plasma applications

 

Base Station 300/300Z: Central operating hub for process monitoring and uploading thermal measurement survey requirements and downloading thermal survey data

 

iWafer Carrier Station: Communication link between the SensorWafer and host computer for process monitoring Instrumented Substrates

 

Instrumented Substrates

SensArray 1530: Instrumented wafer for cold wall, RTP, sputtering, CVD, plasma strippers and epitaxial reactors

 

SensArray 1535: Instrumented wafer for process temperature monitoring of hot wall systems, and oxidizing cold wall environments

 

SensArray 1630: Instrumented wafer for both front-end atmospheric and belt CVD systems, and in back-end wafer solder bumping reflow ovens

 

SensArray 1730: Instrumented wafer for photoresist track systems, temperature-controlled wafer chuck systems, oven applications, and resist bake, polyimide, and SOG applications

 

SensArray 20x0: Instrumented glass panels and tiles for monitoring and measuring temperature profiles for a range of flat panel processing applications

 

Thermal MAP: Wireless data acquisition hardware and graphical software for visualization and analysis

 

Thermal TRACK: PC-based PDA supplies real-time wafer process monitoring and measurements



로고 COPYRIGHT 2011,WESTPAC ALL RIGHT RESERVED